Sciweavers

CGO
2006
IEEE

2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set

13 years 7 months ago
2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set
Static compilers use profiling to predict run-time program behavior. Generally, this requires multiple input sets to capture wide variations in run-time behavior. This is expensive in terms of resources and compilation time. We introduce a new mechanism, 2D-profiling, which profiles with only one input set and predicts whether the result of the profile would change significantly across multiple input sets. We use 2D-profiling to predict whether a branch's prediction accuracy varies across input sets. The key insight is that if the prediction accuracy of an individual branch varies significantly over a profiling run with one input set, then it is more likely that the prediction accuracy of that branch varies across input sets. We evaluate 2D-profiling with the SPEC CPU 2000 integer benchmarks and show that it can identify input-dependent branches accurately.
Hyesoon Kim, M. Aater Suleman, Onur Mutlu, Yale N.
Added 20 Aug 2010
Updated 20 Aug 2010
Type Conference
Year 2006
Where CGO
Authors Hyesoon Kim, M. Aater Suleman, Onur Mutlu, Yale N. Patt
Comments (0)