Sciweavers

ICS
2010
Tsinghua U.

Timing local streams: improving timeliness in data prefetching

13 years 5 months ago
Timing local streams: improving timeliness in data prefetching
Data prefetching technique is widely used to bridge the growing performance gap between processor and memory. Numerous prefetching techniques have been proposed to exploit data patterns and correlations in the miss address stream. In general, the miss addresses are grouped by some common characteristics, such as program counter or memory region they belong to, into localized streams to improve prefetch accuracy and coverage. However, the existing stream localization technique lacks the timing information of misses. This drawback can lead to a large fraction of untimely prefetches, which in turn limits the effectiveness of prefetching, wastes precious bandwidth and leads to high cache pollution potentially. This paper proposes a novel mechanism named stream timing technique that can largely reduce untimely prefetches and in turn increase the overall performance. Based on the proposed stream timing technique, we extend the conventional stride prefetcher and propose a new stride prefetc...
Huaiyu Zhu, Yong Chen, Xian-He Sun
Added 12 Oct 2010
Updated 12 Oct 2010
Type Conference
Year 2010
Where ICS
Authors Huaiyu Zhu, Yong Chen, Xian-He Sun
Comments (0)