Sciweavers

RTS
2011

Global scheduling based reliability-aware power management for multiprocessor real-time systems

12 years 10 months ago
Global scheduling based reliability-aware power management for multiprocessor real-time systems
Reliability-aware power management (RAPM) has been a recent research focus due the negative effects of the popular power management technique dynamic voltage and frequency scaling (DVFS) on system reliability. As a result, several RAPM schemes have been proposed for uniprocessor real-time systems. In this paper, for a set of frame-based independent real-time tasks running on multiprocessor systems, we study global scheduling based RAPM (G-RAPM) schemes. Depending on how recovery blocks are scheduled and utilized, both individual-recovery and shared-recovery based G-RAPM schemes are investigated. An important dimension of the G-RAPM problem is how to select the appropriate subset of tasks for energy and reliability management (i.e., scale down their executions while ensuring that they can be recovered from transient faults). We show that making such decision optimally (i.e., the static G-RAPM problem) is NP-hard. Then, for the individualrecovery based approach, we study two efficient h...
Xuan Qi, Dakai Zhu, Hakan Aydin
Added 14 May 2011
Updated 14 May 2011
Type Journal
Year 2011
Where RTS
Authors Xuan Qi, Dakai Zhu, Hakan Aydin
Comments (0)