Sciweavers

VLSID
2008
IEEE

Power Reduction of Functional Units Considering Temperature and Process Variations

14 years 4 months ago
Power Reduction of Functional Units Considering Temperature and Process Variations
Continuous technology scaling has resulted in an increase in both, the power density as well as the variation in device dimensions (process variations) of the manufactured processors. Both power density and process variations have a significant impact on the leakage power. Therefore, power optimization techniques should be sensitive to the variation in leakage power due to both temperature as well as process variations. Operation to Functional Units Binding Mechanism (OFBM) is the mechanism to dynamically issue operations to Functional Units (FUs) in superscalar processors. We propose a Leakage-Aware OFBM (LA-OFBM), which is both temperature and process variation aware. Our experimental results demostrate that LA-OFBM reduces the mean and standard deviation of the total energy consumption of ALUs by 18%, and 46% respectively, as compared to the traditional OFBM, without any performance penalty.
Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj
Added 30 Nov 2009
Updated 30 Nov 2009
Type Conference
Year 2008
Where VLSID
Authors Deepa Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, Sarma Vrudhul
Comments (0)