Sciweavers

ISPASS
2007
IEEE

DRAM-Level Prefetching for Fully-Buffered DIMM: Design, Performance and Power Saving

13 years 10 months ago
DRAM-Level Prefetching for Fully-Buffered DIMM: Design, Performance and Power Saving
We have studied DRAM-level prefetching for the fully buffered DIMM (FB-DIMM) designed for multi-core processors. FB-DIMM has a unique two-level interconnect structure, with FB-DIMM channels at the first-level connecting the memory controller and Advanced Memory Buffers (AMBs); and DDR2 buses at the second-level connecting the AMBs with DRAM chips. We propose an AMB prefetching method that prefetches memory blocks from DRAM chips to AMBs. It utilizes the redundant bandwidth between the DRAM chips and AMBs but does not consume the crucial channel bandwidth. The proposed method fetches K memory blocks of L2 cache block sizes around the demanded block, where K is a small value ranging from two to eight. The method may also reduce the DRAM power consumption by merging some DRAM precharges and activations. Our cycle-accurate simulation shows that the average performance improvement is 16% for single-core and multi-core workloads constructed from memory-intensive SPEC2000 programs with soft...
Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Zhao Zhan
Added 04 Jun 2010
Updated 04 Jun 2010
Type Conference
Year 2007
Where ISPASS
Authors Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Zhao Zhang, Howard David
Comments (0)