Sciweavers

ICCD
2005
IEEE

Applying Resource Sharing Algorithms to ADL-driven Automatic ASIP Implementation

14 years 1 months ago
Applying Resource Sharing Algorithms to ADL-driven Automatic ASIP Implementation
Presently, Architecture Description Languages (ADLs) are widely used to raise the abstraction level of the design space exploration of Application Specific Instruction-set Processors (ASIPs), benefiting from automatically generated software tool suite and RTL implementation. The increase of abstraction level and automated implementation traditionally comes at the cost of low area, delay or power efficiency. The standard synthesis flow starting at raction fails to compensate for this loss of performance. Thus, high level optimizations during RTL synthesis from ADLs are obligatory. Currently, ADL-based optimization schemes do not perform resource sharing. In this paper, we present an iterative algorithm for performing resource sharing on the basis of global data flow graph matching criteria. This ADL-based resource sharing optimization is performed over a RISC and a VLIW architecture and two industrial embedded processors. The results indicate a significant improvement in overall ...
Ernst Martin Witte, Anupam Chattopadhyay, Oliver S
Added 16 Mar 2010
Updated 16 Mar 2010
Type Conference
Year 2005
Where ICCD
Authors Ernst Martin Witte, Anupam Chattopadhyay, Oliver Schliebusch, David Kammler
Comments (0)