Sciweavers

ASYNC
2005
IEEE

An Asynchronous Router for Multiple Service Levels Networks on Chip

13 years 10 months ago
An Asynchronous Router for Multiple Service Levels Networks on Chip
Networks on Chip that can guarantee Quality of Service (QNoC) are based on special routers that can support multiple service levels. GALS SoCs call for asynchronous NoC implementations, to eliminate the need for synchronization when crossing clock domains. An asynchronous multi-service level QNoC router is investigated. It comprises multiple interconnected input and output ports, and arbitration mechanisms that resolve any output port and service level conflicts. Buffering and credit based transport are enabled, enhancing throughput. A synchronous and an asynchronous routers have been designed, and their performance is compared. The asynchronous router requires less area and enables a higher data rate.
Rostislav (Reuven) Dobkin, Victoria Vishnyakov, Ey
Added 24 Jun 2010
Updated 24 Jun 2010
Type Conference
Year 2005
Where ASYNC
Authors Rostislav (Reuven) Dobkin, Victoria Vishnyakov, Eyal Friedman, Ran Ginosar
Comments (0)