Sciweavers

DAC
2008
ACM

Characterizing chip-multiprocessor variability-tolerance

14 years 5 months ago
Characterizing chip-multiprocessor variability-tolerance
Spatially-correlated intra-die process variations result in significant core-to-core frequency variations in chip-multiprocessors. An analytical model for frequency island chip-multiprocessor throughput is introduced. The improved variability-tolerance of FI-CMPs over their globally-clocked counterparts is quantified across a range of core counts and sizes under constant die area. The benefits are highest for designs consisting of many small cores, with the throughput of a globally-clocked design with 70 small cores increasing by 8.8% when per-core frequency islands are used. The smallcore FI-CMP also loses only 7.2% of its nominal performance to process variations, the least among any of the designs. Categories and Subject Descriptors C.4 [Performance of Systems]: Design studies General Terms Design, Performance Keywords Process variability, chip-multiprocessor, frequency islands
Sebastian Herbert, Diana Marculescu
Added 12 Nov 2009
Updated 12 Nov 2009
Type Conference
Year 2008
Where DAC
Authors Sebastian Herbert, Diana Marculescu
Comments (0)