Sciweavers

MICRO
2002
IEEE

Cherry: checkpointed early resource recycling in out-of-order microprocessors

13 years 9 months ago
Cherry: checkpointed early resource recycling in out-of-order microprocessors
This paper presents CHeckpointed Early Resource RecYcling (Cherry), a hybrid mode of execution based on ROB and checkpointing that decouples resource recycling and instruction retirement. Resources are recycled early, resulting in a more efficient utilization. Cherry relies on state checkpointing and rollback to service exceptions for instructions whose resources have been recycled. Cherry leverages the ROB to (1) not require in-order execution as a fallback mechanism, (2) allow memory replay traps and branch mispredictions without rolling back to the Cherry checkpoint, and (3) quickly fall back to conventional out-of-order execution without rolling back to the checkpoint or flushing the pipeline. We present a Cherry implementation with early recycling at three different points of the execution engine: the load queue, the store
José F. Martínez, Jose Renau, Michae
Added 15 Jul 2010
Updated 15 Jul 2010
Type Conference
Year 2002
Where MICRO
Authors José F. Martínez, Jose Renau, Michael C. Huang, Milos Prvulovic, Josep Torrellas
Comments (0)