Sciweavers

ASPLOS
2004
ACM

Coherence decoupling: making use of incoherence

13 years 10 months ago
Coherence decoupling: making use of incoherence
This paper explores a new technique called coherence decoupling, which breaks a traditional cache coherence protocol into two protocols: a Speculative Cache Lookup (SCL) protocol and a safe, backing coherence protocol. The SCL protocol produces a speculative load value, typically from an invalid cache line, permitting the processor to compute with incoherent data. In parallel, the coherence protocol obtains the necessary coherence permissions and the correct value. Eventually, the speculative use of the incoherent data can be verified against the coherent data. Thus, coherence decoupling can greatly reduce — if not eliminate — the effects of false sharing. Furthermore, coherence decoupling can also reduce latencies incurred by true sharing. SCL protocols reduce those latencies by speculatively writing updates into invalid lines, thereby increasing the accuracy of speculation, without complicating the simple, underlying coherence protocol that guarantees correctness. The performa...
Jaehyuk Huh, Jichuan Chang, Doug Burger, Gurindar
Added 30 Jun 2010
Updated 30 Jun 2010
Type Conference
Year 2004
Where ASPLOS
Authors Jaehyuk Huh, Jichuan Chang, Doug Burger, Gurindar S. Sohi
Comments (0)