Sciweavers

MICRO
1999
IEEE

Compiler-Directed Dynamic Computation Reuse: Rationale and Initial Results

13 years 8 months ago
Compiler-Directed Dynamic Computation Reuse: Rationale and Initial Results
Recent studies on value locality reveal that many instructions are frequently executed with a small variety of inputs. This paper proposes an approach that integrates architecture and compiler techniques to exploit value locality for large regions of code. The approach strives to eliminate redundant processor execution created by both instructionlevel input repetition and recurrence of input data within high-level computations. In this approach, the compiler performs analysis to identify code regions whose computation can be reused during dynamic execution. The instruction set architecture provides a simple interface for the compiler to communicate the scope of each reuse region and its live-out register information to the hardware. During run time, the execution results of these reusable computation regions are recorded into hardware buffers for potential reuse. Each reuse can eliminate the execution of a large number of dynamic instructions. Furthermore, the actions needed to update...
Daniel A. Connors, Wen-mei W. Hwu
Added 04 Aug 2010
Updated 04 Aug 2010
Type Conference
Year 1999
Where MICRO
Authors Daniel A. Connors, Wen-mei W. Hwu
Comments (0)