Sciweavers

CGO
2010
IEEE

Contention aware execution: online contention detection and response

13 years 9 months ago
Contention aware execution: online contention detection and response
Cross-core application interference due to contention for shared on-chip and off-chip resources pose a significant challenge to providing application level quality of service (QoS) guarantees on commodity multicore micro-architectures. Unexpected cross-core interference is especially problematic when considering latencysensitive applications that are present in the web service data center application domains, such as web-search. The commonly used solution is to simply disallow the co-location of latency-sensitive applications and throughput-oriented batch applications on a single chip, leaving much of the processing capabilities of multicore micro-architectures underutilized. In this work we present a Contention Aware Execution Runtime (CAER) environment that provides a lightweight runtime solution that minimizes crosscore interference due to contention, while maximizing utilization. CAER leverages the ubiquitous performance monitoring capabilities present in current multicore proces...
Jason Mars, Neil Vachharajani, Robert Hundt, Mary
Added 03 Jul 2010
Updated 03 Jul 2010
Type Conference
Year 2010
Where CGO
Authors Jason Mars, Neil Vachharajani, Robert Hundt, Mary Lou Soffa
Comments (0)