Sciweavers

TC
2010

A Counter Architecture for Online DVFS Profitability Estimation

12 years 11 months ago
A Counter Architecture for Online DVFS Profitability Estimation
Dynamic voltage and frequency scaling (DVFS) is a well known and effective technique for reducing power consumption in modern microprocessors. An important concern though is to estimate its profitability in terms of performance and energy. Current DVFS profitability estimation approaches, however, lack accuracy or incur runtime performance and/or energy overhead. This paper proposes a counter architecture for online DVFS profitability estimation on superscalar out-of-order processors. The counter architecture teases apart the fraction of the execution time that is susceptible to clock frequency versus the fraction that is insusceptible to clock frequency. By doing so, the counter architecture can accurately estimate the performance and energy consumption at different V/f operating points from a single program execution. The DVFS counter architecture estimates performance, energy consumption, and energy-delaysquared-product (ED2 P) within 0.2, 0.5, and 0.8 percent on average, respective...
Stijn Eyerman, Lieven Eeckhout
Added 21 May 2011
Updated 21 May 2011
Type Journal
Year 2010
Where TC
Authors Stijn Eyerman, Lieven Eeckhout
Comments (0)