Sciweavers

HPCA
2008
IEEE

DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors

14 years 5 months ago
DeCoR: A Delayed Commit and Rollback mechanism for handling inductive noise in processors
Increases in peak current draw and reductions in the operating voltages of processors continue to amplify the importance of dealing with voltage fluctuations in processors. Noise-margin violations lead to undesired effects, like timing violations, which may result in incorrect execution of applications. To support future trends, several recent architectural solutions for inductive noise have been proposed that, unfortunately, have a strong correlation to the underlying power-delivery package model and require a feedback loop that is largely constrained by the voltage/current sensor speed and accuracy. The resulting solutions are not robust across a range of microprocessor designs and packaging technologies. This paper proposes a robust scheme that does not make any assumptions about the package model or the responsiveness of the voltage sensors. In particular, our approach recovers from, rather than attempting to avoid, voltage emergencies. It uses a Delayed-Commit and Rollback scheme...
Meeta Sharma Gupta, Krishna K. Rangan, Michael D.
Added 01 Dec 2009
Updated 01 Dec 2009
Type Conference
Year 2008
Where HPCA
Authors Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith, Gu-Yeon Wei, David M. Brooks
Comments (0)