Sciweavers

ASPLOS
2012
ACM

DreamWeaver: architectural support for deep sleep

12 years 8 days ago
DreamWeaver: architectural support for deep sleep
Numerous data center services exhibit low average utilization leading to poor energy efficiency. Although CPU voltage and frequency scaling historically has been an effective means to scale down power with utilization, transistor scaling trends are limiting its effectiveness and the CPU is accounting for a shrinking fraction of system power. Recent research advocates the use of full-system idle low-power modes to combat energy losses, as such modes provide the deepest power savings with bounded response time impact. However, the trend towards increasing cores per die is undermining the effectiveness of these sleep modes, particularly for requestparallel data center applications, because the independent idle periods across individual cores are unlikely to align by happenstance. We propose DreamWeaver, architectural support to facilitate deep sleep for request-parallel applications on multicore servers. DreamWeaver comprises two elements: Weave Scheduling, a scheduling policy to coales...
David Meisner, Thomas F. Wenisch
Added 20 Apr 2012
Updated 20 Apr 2012
Type Journal
Year 2012
Where ASPLOS
Authors David Meisner, Thomas F. Wenisch
Comments (0)