Sciweavers

DATE
2007
IEEE

DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems

13 years 10 months ago
DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems
Zhiguo Ge, Weng-Fai Wong, Hock-Beng Lim
Added 02 Jun 2010
Updated 02 Jun 2010
Type Conference
Year 2007
Where DATE
Authors Zhiguo Ge, Weng-Fai Wong, Hock-Beng Lim
Comments (0)