Sciweavers

GLVLSI
2010
IEEE

Energy-efficient redundant execution for chip multiprocessors

13 years 4 months ago
Energy-efficient redundant execution for chip multiprocessors
Relentless CMOS scaling coupled with lower design tolerances is making ICs increasingly susceptible to wear-out related permanent faults and transient faults, necessitating on-chip fault tolerance in future chip microprocessors (CMPs). In this paper, we describe a power-efficient architecture for redundant execution on chip multiprocessors (CMPs) which when coupled with our per-core dynamic voltage and frequency scaling (DVFS) algorithm significantly reduces the energy overhead of redundant execution without sacrificing performance. Our evaluation shows that this architecture has a
Pramod Subramanyan, Virendra Singh, Kewal K. Saluj
Added 07 Dec 2010
Updated 07 Dec 2010
Type Conference
Year 2010
Where GLVLSI
Authors Pramod Subramanyan, Virendra Singh, Kewal K. Saluja, Erik Larsson
Comments (0)