Sciweavers

ICCAD
2009
IEEE

The epsilon-approximation to discrete VT assignment for leakage power minimization

13 years 2 months ago
The epsilon-approximation to discrete VT assignment for leakage power minimization
As VLSI technology reaches 45nm technology node, leakage power optimization has become a major design challenge. Threshold voltage (vt) assignment has been extensively studied, due to its effectiveness in leakage power reduction. In contrast to the efficiently solvable continuous vt assignment problem, the discrete vt assignment problem is known to be NP-hard. All of the existing techniques are heuristics without performance guarantee due to the NPhardness nature of the problem. It is still not known whether there is any rigorous approximation algorithm for the discrete vt assignment problem. In this paper, the first -approximation algorithm is designed for the discrete vt assignment problem. The algorithm can -approximate the optimal vt assignment solution in O(mn3 log n log 1 + mn3 log2 1 2 ) time, where n is the size of the combinational circuit and m is the number of available threshold voltages per gate. It is based on an advanced potential function technique and an efficient dua...
Yujia Feng, Shiyan Hu
Added 18 Feb 2011
Updated 18 Feb 2011
Type Journal
Year 2009
Where ICCAD
Authors Yujia Feng, Shiyan Hu
Comments (0)