Sciweavers

ICPP
2009
IEEE

Exploiting Simulation Slack to Improve Parallel Simulation Speed

13 years 11 months ago
Exploiting Simulation Slack to Improve Parallel Simulation Speed
Parallel simulation is a technique to accelerate microarchitecture simulation of CMPs by exploiting the inherent parallelism of CMPs. In this paper, we explore the simulation paradigm of simulating each core of a target CMP in one thread and then spreading the threads across the hardware thread contexts of a host CMP. We start with cycle-by-cycle simulation and then relax the synchronization condition in various schemes, which we call slack simulations. In slack simulations, the Pthreads simulating different simulated cores do not synchronize after each simulated cycle, but rather they are given some slack. The slack is the difference in cycle between the simulated times of any two target cores. Small slacks, such as a few cycles, greatly improve the efficiency of parallel CMP simulations, with no or negligible simulation error. We have developed a simulation framework called SlackSim to experiment with various slack simulation schemes. Unlike previous attempts to parallelize multipro...
Jianwei Chen, Murali Annavaram, Michel Dubois
Added 23 May 2010
Updated 23 May 2010
Type Conference
Year 2009
Where ICPP
Authors Jianwei Chen, Murali Annavaram, Michel Dubois
Comments (0)