Sciweavers

FDL
2007
IEEE

An Extension to VHDL-AMS for AMS Systems with Partial Differential Equations

13 years 11 months ago
An Extension to VHDL-AMS for AMS Systems with Partial Differential Equations
Abstract This paper proposes VHDL-AMS syntax extensions that enable descriptions of AMS systems with partial differential equations. We named the extended language VHDL-AMSP. An important specific need for such extensions arises from the well known MEMS modelling difficulties where complex digital and analogue electronics interfaces with distributed mechanical systems. The new syntax allows descriptions of new VHDL-AMS objects, such as partial quantities, spatial coordinates and boundary conditions. Pending the development of a new standard, a suitable pre-processor has been developed to convert VHDL-AMSP into the existing VHDL-AMS 1076.1 standard automatically. The pre-processor allows development of models with partial differential equations using currently available simulators. As an example, a VHDL-AMSP description for the sensing element of a MEMS accelerometer is presented, converted to VHDL-AMS 1076.1 and simulated in SystemVision. Keywords Hardware description language, VHDL-AM...
Leran Wang, Chenxu Zhao, Tom J. Kazmierski
Added 02 Jun 2010
Updated 02 Jun 2010
Type Conference
Year 2007
Where FDL
Authors Leran Wang, Chenxu Zhao, Tom J. Kazmierski
Comments (0)