Sciweavers

MICRO
2010
IEEE

Fractal Coherence: Scalably Verifiable Cache Coherence

13 years 2 months ago
Fractal Coherence: Scalably Verifiable Cache Coherence
We propose an architectural design methodology for designing formally verifiable cache coherence protocols, called Fractal Coherence. Properly designed to be fractal in behavior, the proposed family of cache coherence protocols can be formally verified correct for systems with an arbitrary number of cores, using existing, automated formal tools. We show, by designing and implementing a specific Fractal Coherence protocol, called TreeFractal, that Fractal Coherence protocols can attain comparable performance to traditional snooping and directory protocols.
Meng Zhang, Alvin R. Lebeck, Daniel J. Sorin
Added 14 Feb 2011
Updated 14 Feb 2011
Type Journal
Year 2010
Where MICRO
Authors Meng Zhang, Alvin R. Lebeck, Daniel J. Sorin
Comments (0)