Sciweavers

ICCD
2008
IEEE

Frequency and voltage planning for multi-core processors under thermal constraints

14 years 7 days ago
Frequency and voltage planning for multi-core processors under thermal constraints
— Clock frequency and transistor density increases have resulted in elevated chip temperatures. In order to meet temperature constraints while still exploiting the performance opportunities enabled by continued scaling, chip designers have migrated towards multi-core architectures. Multi-core architectures use multiple cores running at moderate clock frequencies to run several threads concurrently, which increases overall system throughput. In this work, we propose novel methods to find the optimal operating parameters, i.e., frequency and voltage, that maximize a multi-core system throughput under thermal constraints. By adjusting core clock frequencies and voltages, on-chip power dissipation can be spatially and temporally distributed to maximize the chip’s physical performance during runtime. We propose a simple, yet efficient model that accurately characterize the effects that changes in clock frequency and voltage have on on-chip temperatures. Using the model, we find the o...
Michael Kadin, Sherief Reda
Added 15 Mar 2010
Updated 30 Aug 2010
Type Conference
Year 2008
Where ICCD
Authors Michael Kadin, Sherief Reda
Comments (0)