Sciweavers

ICCAD
2009
IEEE

A hybrid local-global approach for multi-core thermal management

13 years 2 months ago
A hybrid local-global approach for multi-core thermal management
Multi-core processors have become an integral part of mainstream high performance computer systems. In parallel, exponentially increasing power density and packaging costs have necessitated system level thermal management solutions for multi-core systems. Dynamic thermal management (DTM) techniques monitor on-chip temperature continuously and typically employs dynamic voltage and frequency scaling (DVFS) to lower the temperature when it exceeds a pre-defined threshold. State-of-the-art DTM solutions for multi-core systems include distributed DVFS (where each core can scale the voltage/ frequency individually) and global DVFS (where all cores scale voltage/frequency simultaneously). Distributed DVFS generally offers higher performance than global DVFS, but it is hard to implement and has major scalability issues. We propose a hybrid local-global thermal management approach for multi-core systems that offers better performance than distributed DVFS, while maintaining the simplicity of g...
Ramkumar Jayaseelan, Tulika Mitra
Added 18 Feb 2011
Updated 18 Feb 2011
Type Journal
Year 2009
Where ICCAD
Authors Ramkumar Jayaseelan, Tulika Mitra
Comments (0)