Sciweavers

SC
2000
ACM

Improving Fine-Grained Irregular Shared-Memory Benchmarks by Data Reordering

13 years 9 months ago
Improving Fine-Grained Irregular Shared-Memory Benchmarks by Data Reordering
We demonstrate that data reordering can substantially improve the performance of fine-grained irregular sharedmemory benchmarks, on both hardware and software shared-memory systems. In particular, we evaluate two distinct data reordering techniques that seek to co-locate in memory objects that are in close proximity in the physical system modeled by the computation. The effects of these techniques are increased spatial locality and reduced false sharing. We evaluate the effectiveness of the data reordering techniques on a set of five irregular applications from SPLASH2 and Chaos. We implement both techniques in a small library, allowing us to enable them in an application by adding less than 10 lines of code. Our results on one hardware and two software shared-memory systems show that, with data reordering during initialization, the performance of these applications is improved by 12%–99% on the Origin 2000, 30%–366% on TreadMarks, and 14%–269% on HLRC.
Y. Charlie Hu, Alan L. Cox, Willy Zwaenepoel
Added 01 Aug 2010
Updated 01 Aug 2010
Type Conference
Year 2000
Where SC
Authors Y. Charlie Hu, Alan L. Cox, Willy Zwaenepoel
Comments (0)