Sciweavers

VLSID
2002
IEEE

Layout-Driven Timing Optimization by Generalized De Morgan Transform

13 years 8 months ago
Layout-Driven Timing Optimization by Generalized De Morgan Transform
We propose a timing-oriented logic optimization technique called Generalized De Morgan (GDM) transform, that integrates gate resizing, net buffering and De Morgan transformation. The contribution of our work lies in the integration of the three techniques, allowing them to interact at a much finer level of granularity than would be otherwise possible. This produces better results than those obtainable by individual techniques like net buffering or gate resizing applied to the circuit in various combinations. GDM transform is also layout-friendly since it does not alter the routing patterns and placement of cells, except possibly some buffer insertions/deletions. Hence it is useful for achieving timing closure in late stages of the design flow. We propose a comprehensive GDM algorithm that (a) determines the best replacement of a gate, possibly with inverted inputs and outputs, along with the best buffering configurations of nets incident on it, and (b) embeds this into a global sch...
Supratik Chakraborty, Rajeev Murgai
Added 16 Jul 2010
Updated 16 Jul 2010
Type Conference
Year 2002
Where VLSID
Authors Supratik Chakraborty, Rajeev Murgai
Comments (0)