Sciweavers

ISCA
2006
IEEE

Learning-Based SMT Processor Resource Distribution via Hill-Climbing

13 years 10 months ago
Learning-Based SMT Processor Resource Distribution via Hill-Climbing
The key to high performance in Simultaneous Multithreaded (SMT) processors lies in optimizing the distribution of shared resources to active threads. Existing resource distribution techniques optimize performance only indirectly. They infer potential performance bottlenecks by observing indicators, like instruction occupancy or cache miss counts, and take actions to try to alleviate them. While the corrective actions are designed to improve performance, their actual performance impact is not known since end performance is never monitored. Consequently, potential performance gains are lost whenever the corrective actions do not effectively address the actual bottlenecks occurring in the pipeline. We propose a different approach to SMT resource distribution that optimizes end performance directly. Our approach observes the impact that resource distribution decisions have on performance at runtime, and feeds this information back to the resource distribution mechanisms to improve future ...
Seungryul Choi, Donald Yeung
Added 12 Jun 2010
Updated 12 Jun 2010
Type Conference
Year 2006
Where ISCA
Authors Seungryul Choi, Donald Yeung
Comments (0)