Sciweavers

ICCD
2001
IEEE

In-Line Interrupt Handling for Software-Managed TLBs

14 years 1 months ago
In-Line Interrupt Handling for Software-Managed TLBs
The general-purpose precise interrupt mechanism, which has long been used to handle exceptional conditions that occur infrequently, is now being used increasingly often to handle conditions that are neither exceptional nor infrequent. One example is the use of interrupts to perform memory management—e.g., to handle translation lookaside buffer (TLB) misses in today’s microprocessors. Because the frequency of TLB misses tends to increase with memory footprint, there is pressure on the precise interrupt mechanism to become more lightweight. When modern out-of-order processors handle interrupts precisely, they typically begin by flushing the pipeline. Doing so makes the CPU available to execute handler instructions, but it wastes potentially hundreds of cycles of execution time. However, if the handler code is small, it could potentially fit in the reorder buffer along with the user-level code already there. This essentially in-lines the interrupt-handler code. One good example of wh...
Aamer Jaleel, Bruce L. Jacob
Added 16 Mar 2010
Updated 16 Mar 2010
Type Conference
Year 2001
Where ICCD
Authors Aamer Jaleel, Bruce L. Jacob
Comments (0)