Sciweavers

CF
2010
ACM

Load balancing using dynamic cache allocation

13 years 8 months ago
Load balancing using dynamic cache allocation
Supercomputers need a huge budget to be built and maintained. To maximize the usage of their resources, application developers spend time to optimize the code of the parallel applications and minimize execution time. Despite this effort, load imbalance still arises in many optimized applications due to causes not controlled by the application developer, resulting in significant performance degradation and waste of CPU time. If the nodes of the supercomputer use chip multiprocessors, this problem may become even worse, as the interaction between different threads inside the chip may affect their performance in an unpredictable way. Although there are many techniques to address load imbalance at run-time, as it happens, these techniques may not be particularly effective when the cause of the imbalance is due to the performance sensitivity of the parallel threads when accessing a shared cache. To this end, we present a novel run-time mechanism, with minimal hardware, that automatica...
Miquel Moretó, Francisco J. Cazorla, Rizos
Added 10 Jul 2010
Updated 10 Jul 2010
Type Conference
Year 2010
Where CF
Authors Miquel Moretó, Francisco J. Cazorla, Rizos Sakellariou, Mateo Valero
Comments (0)