Sciweavers

ISLPED
2003
ACM

On load latency in low-power caches

13 years 9 months ago
On load latency in low-power caches
Many of the recently proposed techniques to reduce power consumption in caches introduce an additional level of nondeterminism in cache access latency. Due to this additional latency, instructions speculatively issued and dependent on a non-deterministic load must be re-executed. Our experiments show that there is a large performance degradation and associated energy wastage due to these effects of instruction re-execution. To address this problem, we propose an early cache set resolution scheme. It is based on the observation that the displacement values used for address generation are generally small. Our experimental evaluation shows that this technique is quite effective in mitigating this problem. Categories and Subject Descriptors: B.3 [Memory Structures]: Performance Analysis and Design Aids General Terms: Performance, experimentation
Soontae Kim, Narayanan Vijaykrishnan, Mary Jane Ir
Added 05 Jul 2010
Updated 05 Jul 2010
Type Conference
Year 2003
Where ISLPED
Authors Soontae Kim, Narayanan Vijaykrishnan, Mary Jane Irwin, Lizy Kurian John
Comments (0)