Sciweavers

ISPASS
2007
IEEE

Modeling and Characterizing Power Variability in Multicore Architectures

13 years 10 months ago
Modeling and Characterizing Power Variability in Multicore Architectures
Parameter variation due to manufacturing error will be an unavoidable consequence of technology scaling in future generations. The impact of random variation in physical factors such as gate length and interconnect spacing will have a profound impact on not only performance of chips, but also their power behavior. While circuit-level techniques such as adaptive body-biasing can help to mitigate mal-fabricated chips, they cannot completely alleviate severe within die variations forecasted for near future designs. Despite the large impact that power variability will have on future designs, there is a lack of published work that examines architectural implications of this phenomenon. In this work, we develop architecture level models that model power variability due to manufacturing error and examine its influence on multicore designs. We introduce VariPower, a tool for modeling power variability based on an microarchitectural description and floorplan of a chip. In particular, our mod...
Ke Meng, Frank Huebbers, Russ Joseph, Yehea I. Ism
Added 04 Jun 2010
Updated 04 Jun 2010
Type Conference
Year 2007
Where ISPASS
Authors Ke Meng, Frank Huebbers, Russ Joseph, Yehea I. Ismail
Comments (0)