Sciweavers

IOLTS
2005
IEEE

A Novel On-Chip Delay Measurement Hardware for Efficient Speed-Binning

13 years 9 months ago
A Novel On-Chip Delay Measurement Hardware for Efficient Speed-Binning
With the aggressive scaling of the CMOS technology parametric variation of the transistor threshold voltage causes significant spread in the circuit delay as well as leakage spectrum. Consequently, speed binning of the high performance VLSI chips is essential and it costs significant amount of test application time. Further, the knowledge of the actual delay in the critical path of the circuit enables efficient use of typical low power methodologies e.g., voltage scaling, adaptive body biasing etc. In this paper, we have proposed a novel on-chip, low overhead and process tolerant delay measurement circuit which can estimate the critical path delay in a single clock period. This has the advantage of efficient on-chip speed binning.
Arijit Raychowdhury, Swaroop Ghosh, Kaushik Roy
Added 25 Jun 2010
Updated 25 Jun 2010
Type Conference
Year 2005
Where IOLTS
Authors Arijit Raychowdhury, Swaroop Ghosh, Kaushik Roy
Comments (0)