Sciweavers

ASPLOS
2015
ACM

Page Placement Strategies for GPUs within Heterogeneous Memory Systems

7 years 11 months ago
Page Placement Strategies for GPUs within Heterogeneous Memory Systems
Systems from smartphones to supercomputers are increasingly heterogeneous, being composed of both CPUs and GPUs. To maximize cost and energy efficiency, these systems will increasingly use globally-addressable heterogeneous memory systems, making choices about memory page placement critical to performance. In this work we show that current page placement policies are not sufficient to maximize GPU performance in these heterogeneous memory systems. We propose two new page placement policies that improve GPU performance: one application agnostic and one using application profile information. Our application agnostic policy, bandwidth-aware (BW-AWARE) placement, maximizes GPU throughput by balancing page placement across the memories based on the aggregate memory bandwidth available in a system. Our simulation-based results show that BW-AWARE placement outperforms the existing Linux INTERLEAVE and LOCAL policies by 35% and 18% on average for GPU compute workloads. We build upon BW-AWA...
Neha Agarwal, David W. Nellans, Mark Stephenson, M
Added 16 Apr 2016
Updated 16 Apr 2016
Type Journal
Year 2015
Where ASPLOS
Authors Neha Agarwal, David W. Nellans, Mark Stephenson, Mike O'Connor, Stephen W. Keckler
Comments (0)