Sciweavers

HPCA
2008
IEEE

Performance-aware speculation control using wrong path usefulness prediction

14 years 4 months ago
Performance-aware speculation control using wrong path usefulness prediction
Fetch gating mechanisms have been proposed to gate the processor pipeline to reduce the wasted energy consumption due to wrongpath (i.e. mis-speculated) instructions. These schemes assume that all wrong-path instructions are useless for processor performance and try to eliminate the execution of all wrong-path instructions. However, wrong-path memory references can be useful for performance by providing prefetching benefits for later correct-path operations. Therefore, eliminating wrong-path instructions without considering the usefulness of wrong-path execution can significantly reduce performance as well as increase overall energy consumption. This paper proposes a comprehensive, low-cost speculation control mechanism that takes into account the usefulness of wrong-path execution, while effectively reducing the energy consumption due to useless wrong-path instructions. One component of the mechanism is a simple, novel wrong-path usefulness predictor (WPUP) that can accurately predic...
Chang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Pa
Added 01 Dec 2009
Updated 01 Dec 2009
Type Conference
Year 2008
Where HPCA
Authors Chang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Patt
Comments (0)