Sciweavers

ISCA
1998
IEEE

Pipeline Gating: Speculation Control for Energy Reduction

13 years 7 months ago
Pipeline Gating: Speculation Control for Energy Reduction
Branch prediction has enabled microprocessors to increase instruction level parallelism (ILP) by allowing programs to speculatively execute beyond control boundaries. Although speculative execution is essential for increasing the instructions per cycle (IPC), it does come at a cost. A large amount of unnecessary work results from wrong-path instructions entering the pipeline due to branch misprediction. Results generated with the SimpleScalar tool set using a 4-way issue pipeline and various branch predictors show an instruction overhead of 16% to 105% for every instruction committed. The instruction overhead will increase in the future as processors use more aggressive speculation and wider issue widths [9]. In this paper, we present an innovative method for power reduction which, unlike previous work that sacrificed flexibility or performance, reduces power in high-performance microprocessors without impacting performance. In particular, we introduce a hardware mechanism called pipe...
Srilatha Manne, Artur Klauser, Dirk Grunwald
Added 05 Aug 2010
Updated 05 Aug 2010
Type Conference
Year 1998
Where ISCA
Authors Srilatha Manne, Artur Klauser, Dirk Grunwald
Comments (0)