Sciweavers

ARC
2011
Springer

From Plasma to BeeFarm: Design Experience of an FPGA-Based Multicore Prototype

12 years 11 months ago
From Plasma to BeeFarm: Design Experience of an FPGA-Based Multicore Prototype
Abstract. In this paper, we take a MIPS-based open-source uniprocessor soft core, Plasma, and extend it to obtain the Beefarm infrastructure for FPGA-based multiprocessor emulation, a popular research topic of the last few years both in the FPGA and the computer architecture communities. We discuss various design tradeoffs and we demonstrate superior scalability through experimental results compared to traditional software instruction set simulators. Based on our experience of designing and building a complete FPGA-based multiprocessor emulation system that supports run-time and compiler infrastructure and on the actual executions of our experiments running Software Transactional Memory (STM) benchmarks, we comment on the pros, cons and future trends of using hardware-based emulation for research.
Nehir Sönmez, Oriol Arcas, Gokhan Sayilar, Os
Added 12 May 2011
Updated 12 May 2011
Type Journal
Year 2011
Where ARC
Authors Nehir Sönmez, Oriol Arcas, Gokhan Sayilar, Osman S. Unsal, Adrián Cristal, Ibrahim Hur, Satnam Singh, Mateo Valero
Comments (0)