Sciweavers

ASPDAC
2016
ACM

Polysynchronous stochastic circuits

8 years 26 days ago
Polysynchronous stochastic circuits
— Clock distribution networks (CDNs) are costly in high-performance ASICs. This paper proposes a new approach: splitting clock domains at a very fine level, down to the level of a handful of gates. Each domain is synchronized with an inexpensive clock signal, generated locally. This is possible by adopting the paradigm of stochastic computation, where signal values are encoded as random bit streams. The design method is illustrated with the synthesis of circuits for applications in signal and image processing.
M. Hassan Najafi, David J. Lilja, Marc D. Riedel,
Added 29 Mar 2016
Updated 29 Mar 2016
Type Journal
Year 2016
Where ASPDAC
Authors M. Hassan Najafi, David J. Lilja, Marc D. Riedel, Kia Bazargan
Comments (0)