Sciweavers

DAC
2010
ACM

Post-silicon validation opportunities, challenges and recent advances

13 years 7 months ago
Post-silicon validation opportunities, challenges and recent advances
Post-silicon validation is used to detect and fix bugs in integrated circuits and systems after manufacture. Due to sheer design complexity, it is nearly impossible to detect and fix all bugs before manufacture. Post-silicon validation is a major challenge for future systems. Today, it is largely viewed as an art with very few systematic solutions. As a result, post-silicon validation is an emerging research topic with several exciting opportunities for major innovations in electronic design automation. In this paper, we provide an overview of the post-silicon validation problem and how it differs from traditional pre-silicon verification and manufacturing testing. We also discuss major postsilicon validation challenges and recent advances. Categories and Subject Descriptors B 7.2 Design Aids
Subhasish Mitra, Sanjit A. Seshia, Nicola Nicolici
Added 02 Sep 2010
Updated 02 Sep 2010
Type Conference
Year 2010
Where DAC
Authors Subhasish Mitra, Sanjit A. Seshia, Nicola Nicolici
Comments (0)