Sciweavers

MICRO
1998
IEEE

Putting the Fill Unit to Work: Dynamic Optimizations for Trace Cache Microprocessors

13 years 8 months ago
Putting the Fill Unit to Work: Dynamic Optimizations for Trace Cache Microprocessors
The fill unit is the structure which collects blocks of instructions and combines them into multi-block segments for storage in a trace cache. In this paper, we expand the role of the fill unit to include four dynamic optimizations: (1) Register move instructions are explicitly marked, enabling them to be executed within the decode logic. (2) Immediate values of dependent instructions are combined, if possible, which removes a step in the dependency chain. (3) Dependent pairs of shift and add instructions are combined into scaled add instructions. (4) Instructions are arranged within the trace segment to minimize the impact of the latency through the operand bypass network. Together, these dynamic trace optimizations improve performance on the SPECint95 benchmarks by more than 17% and over all the benchmarks studied by slightly more than 18%.
Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt
Added 05 Aug 2010
Updated 05 Aug 2010
Type Conference
Year 1998
Where MICRO
Authors Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt
Comments (0)