Sciweavers

ICS
2003
Tsinghua U.

Reducing register ports using delayed write-back queues and operand pre-fetch

13 years 10 months ago
Reducing register ports using delayed write-back queues and operand pre-fetch
In high-performance wide-issue microprocessors the access time, energy and area of the register file are often critical to overall performance. This is because these pararmeters grow superlinearly as read and write ports are added to support wide-issue. This paper presents techniques to reduce the number of ports of a register file intended for a wide-issue microprocessor without noticeably impacting its IPC. Our results show that it is possible to replace the 16 read/8 write port file of an eight-issue processor with an 8 read/8 write port file so that the impact on IPC is insignificant. This is accomplished with the addition of some small auxiliary memory structures. Furthermore, the access time of the smaller file plus the auxiliary structures is such that if it were the critical path a 45-50% increase in clock speed would be possible. Finally, there is an energy per access savings of about 20% and an area savings of 40%, which has the potential for further savings by shortening gl...
Nam Sung Kim, Trevor N. Mudge
Added 07 Jul 2010
Updated 07 Jul 2010
Type Conference
Year 2003
Where ICS
Authors Nam Sung Kim, Trevor N. Mudge
Comments (0)