Sciweavers

MICRO
2000
IEEE

Register integration: a simple and efficient implementation of squash reuse

13 years 8 months ago
Register integration: a simple and efficient implementation of squash reuse
Register integration (or simply integration) is a mechanism for incorporating speculative results directly into a sequential execution using data-dependence relationships. In this paper, we use integration to implement squash reuse, the salvaging of instruction results that were needlessly discarded during the course of sequential recovery from a control- or data- misspeculation. To implement integration, we first allow the results of squashed instructions to remain in the physical register file past mis-speculation recovery. As the processor re-traces portions of the squashed path, integration logic examines each instruction as it is being renamed. Using an auxiliary table, this circuit searches the physical register file for the physical register belonging to the corresponding squashed instance of the instruction. If this register is found, integration succeeds and the squashed result is re-validated by a simple update of the rename table. Once integrated, an instruction is complete...
Amir Roth, Gurindar S. Sohi
Added 25 Aug 2010
Updated 25 Aug 2010
Type Conference
Year 2000
Where MICRO
Authors Amir Roth, Gurindar S. Sohi
Comments (0)