Sciweavers

TSP
2008

A Rough Programming Approach to Power-Balanced Instruction Scheduling for VLIW Digital Signal Processors

13 years 4 months ago
A Rough Programming Approach to Power-Balanced Instruction Scheduling for VLIW Digital Signal Processors
The focus of this paper is on VLIW instruction scheduling that minimizes the variation of power consumed by the processor during the execution of a target program. We use rough set theory to characterize the imprecision inherent in the instruction-level power model that is obtained through empirical measurements. The optimal instruction scheduling problem based on such a power model is formulated as a chance-constrained rough program which is solved by a problemspecific genetic algorithm. Efficiency of the algorithm is greatly improved through a novel rule-based approach to rank the intermediate candidate schedules. Experimental results using the MediaBench and Trimaran benchmarks show that the nearoptimal schedules obtained are significantly better than those obtained through the mixed-integer programming approach. Computational requirements are low enough for the technique to be adopted by practical compilers.
Shu Xiao, Edmund Ming-Kit Lai
Added 15 Dec 2010
Updated 15 Dec 2010
Type Journal
Year 2008
Where TSP
Authors Shu Xiao, Edmund Ming-Kit Lai
Comments (0)