Sciweavers

ISCA
2011
IEEE

Sampling + DMR: practical and low-overhead permanent fault detection

12 years 7 months ago
Sampling + DMR: practical and low-overhead permanent fault detection
With technology scaling, manufacture-time and in-field permanent faults are becoming a fundamental problem. Multi-core architectures with spares can tolerate them by detecting and isolating faulty cores, but the required fault detection coverage becomes effectively 100% as the number of permanent faults increases. Dual-modular redundancy(DMR) can provide 100% coverage without assuming device-level fault models, but its overhead is excessive. In this paper, we explore a simple and low-overhead mechanism we call Sampling-DMR: run in DMR mode for a small percentage (1% of the time for example) of each periodic execution window (5 million cycles for example). Although Sampling-DMR can leave some errors undetected, we argue the permanent fault coverage is 100% because it can detect all faults eventually. SamplingDMR thus introduces a system paradigm of restricting all permanent faults’ effects to small finite windows of error occurrence. We prove an ultimate upper bound exists on total...
Shuou Nomura, Matthew D. Sinclair, Chen-Han Ho, Ve
Added 21 Aug 2011
Updated 21 Aug 2011
Type Journal
Year 2011
Where ISCA
Authors Shuou Nomura, Matthew D. Sinclair, Chen-Han Ho, Venkatraman Govindaraju, Marc de Kruijf, Karthikeyan Sankaralingam
Comments (0)