Sciweavers

ISPD
2010
ACM

Skew management of NBTI impacted gated clock trees

13 years 11 months ago
Skew management of NBTI impacted gated clock trees
NBTI (Negative Bias Temperature Instability) has emerged as the dominant failure mechanism for PMOS in nanometer IC designs. However, its impact on one of the most important components of modern IC design - the clock tree has not been researched enough. Clock gating impacts the extent of NBTI induced VT H degradation of clock buffers leading to clock skew violation. Our work proposes a practical design-time technique of selecting NAND or NOR gate as output stage of integrated clock gating (ICG) cells with the objective of minimizing NBTI induced clock skew. This selection intelligently modulates the signal probability and delay equation of clock signal paths with no extra hardware penalty. We formulate the skew minimization problem as an integer linear program (ILP). Experimental results demonstrate the effectiveness of our method as the NBTI induced clock skew is reduced by more than 74% compared to traditional method. Categories and Subject Descriptors B.7.1 [Integrated Circuits]:...
Ashutosh Chakraborty, David Z. Pan
Added 17 May 2010
Updated 17 May 2010
Type Conference
Year 2010
Where ISPD
Authors Ashutosh Chakraborty, David Z. Pan
Comments (0)