Sciweavers

ASPLOS
2010
ACM

Specifying and dynamically verifying address translation-aware memory consistency

13 years 11 months ago
Specifying and dynamically verifying address translation-aware memory consistency
Computer systems with virtual memory are susceptible to design bugs and runtime faults in their address translation (AT) systems. Detecting bugs and faults requires a clear specification of correct behavior. To address this need, we develop a framework for ATaware memory consistency models. We expand and divide memory consistency into the physical address memory consistency (PAMC) model that defines the behavior of operations on physical addresses and the virtual address memory consistency (VAMC) model that defines the behavior of operations on virtual addresses. As part of this expansion, we show what AT features are required to bridge the gap between PAMC and VAMC. Based on our AT-aware memory consistency specifications, we design efficient dynamic verification hardware that can detect violations of VAMC and thus detect the effects of design bugs and runtime faults, including most AT related bugs in published errata. Categories and Subject Descriptors C.0 [Computer Systems Org...
Bogdan F. Romanescu, Alvin R. Lebeck, Daniel J. So
Added 17 May 2010
Updated 17 May 2010
Type Conference
Year 2010
Where ASPLOS
Authors Bogdan F. Romanescu, Alvin R. Lebeck, Daniel J. Sorin
Comments (0)