Sciweavers

ICCAD
2005
IEEE

Statistical timing analysis driven post-silicon-tunable clock-tree synthesis

14 years 1 months ago
Statistical timing analysis driven post-silicon-tunable clock-tree synthesis
— Process variations cause significant timing uncertainty and yield degradation in deep sub-micron technologies. A solution to counter timing uncertainty is post-silicon clock tuning. Existing design approaches for post-silicon-tunable (PST) clock-tree synthesis usually insert a PST clock buffer for each flip-flop or put PST clock buffers across an entire level of a clock-tree. This can cause significant over-design and long tuning time. In this paper, we propose to insert PST clock buffers at both internal and leaf nodes of a clock-tree and use a bottom-up algorithm to reduce the number of candidate PST clock buffer locations. We then provide two statisticaltiming-driven optimization algorithms to reduce the hardware cost of a PST clock-tree. Experimental results on ISCAS89 benchmark circuits show that our algorithms achieve up to a 90% area or a 90% number of tunable clock buffer reductions compared to existing design methods.
Jeng-Liang Tsai, Lizheng Zhang
Added 16 Mar 2010
Updated 16 Mar 2010
Type Conference
Year 2005
Where ICCAD
Authors Jeng-Liang Tsai, Lizheng Zhang
Comments (0)