Sciweavers

110 search results - page 1 / 22
» A Highly-Configurable Cache Architecture for Embedded System...
Sort
View
ISCA
2003
IEEE
124views Hardware» more  ISCA 2003»
13 years 10 months ago
A Highly-Configurable Cache Architecture for Embedded Systems
Chuanjun Zhang, Frank Vahid, Walid A. Najjar
ISSS
2002
IEEE
151views Hardware» more  ISSS 2002»
13 years 9 months ago
Tuning of Loop Cache Architectures to Programs in Embedded System Design
Adding a small loop cache to a microprocessor has been shown to reduce average instruction fetch energy for various sets of embedded system applications. With the advent of core-b...
Frank Vahid, Susan Cotterell
DAC
2003
ACM
13 years 10 months ago
Improved indexing for cache miss reduction in embedded systems
The increasing use of microprocessor cores in embedded systems as well as mobile and portable devices creates an opportunity for customizing the cache subsystem for improved perfo...
Tony Givargis
SEUS
2009
IEEE
13 years 11 months ago
Towards Time-Predictable Data Caches for Chip-Multiprocessors
Future embedded systems are expected to use chip-multiprocessors to provide the execution power for increasingly demanding applications. Multiprocessors increase the pressure on th...
Martin Schoeberl, Wolfgang Puffitsch, Benedikt Hub...
DAC
1999
ACM
14 years 5 months ago
Memory Exploration for Low Power, Embedded Systems
In embedded system design, the designer has to choose an onchip memory configuration that is suitable for a specific application. To aid in this design choice, we present a memory...
Wen-Tsong Shiue, Chaitali Chakrabarti