Sciweavers

3 search results - page 1 / 1
» A metric for layout-friendly microarchitecture optimization ...
Sort
View
DAC
2012
ACM
11 years 7 months ago
A metric for layout-friendly microarchitecture optimization in high-level synthesis
In this work we address the problem of managing interconnect timing in high-level synthesis by generating a layoutfriendly microarchitecture. A metric called spreading score is pr...
Jason Cong, Bin Liu
ASPDAC
2005
ACM
113views Hardware» more  ASPDAC 2005»
13 years 10 months ago
Scalable interprocedural register allocation for high level synthesis
Abstract— The success of classical high level synthesis has been limited by the complexity of the applications it can handle, typically not large enough to necessitate the depart...
Rami Beidas, Jianwen Zhu
ISPD
2012
ACM
252views Hardware» more  ISPD 2012»
12 years 3 days ago
Towards layout-friendly high-level synthesis
There are two prominent problems with technology scaling: increasing design complexity and more challenges with interconnect design, including routability. High-level synthesis ha...
Jason Cong, Bin Liu 0006, Guojie Luo, Raghu Prabha...