Sciweavers

34 search results - page 2 / 7
» An efficient framework for dynamic reconfiguration of instru...
Sort
View
DATE
2008
IEEE
110views Hardware» more  DATE 2008»
13 years 11 months ago
Run-time System for an Extensible Embedded Processor with Dynamic Instruction Set
One of the upcoming challenges in embedded processing is to incorporate an increasing amount of adaptivity in order to respond to the multifarious constraints induced by today’s...
Lars Bauer, Muhammad Shafique, Stephanie Kreutz, J...
ARC
2006
Springer
157views Hardware» more  ARC 2006»
13 years 8 months ago
PISC: Polymorphic Instruction Set Computers
We introduce a new paradigm in the computer architecture referred to as Polymorphic Instruction Set Computers (PISC). This new paradigm, in difference to RISC/CISC, introduces hard...
Stamatis Vassiliadis, Georgi Kuzmanov, Stephan Won...
VLSI
2007
Springer
13 years 11 months ago
An efficient heterogeneous reconfigurable functional unit for an adaptive dynamic extensible processor
Replacing functional units of an extensible processor with reconfigurable functional units enhances performance and flexibility of processors to execute custom instructions. That ...
Arash Mehdizadeh, Behnam Ghavami, Morteza Saheb Za...
TOOLS
2000
IEEE
13 years 9 months ago
Customization of Component-Based Object Request Brokers through Dynamic Reconfiguration
The success of distributed object technology, depends on the advent of Object Request Broker (ORB) architectures that are able to integrate flexible support for various nonfunctio...
Bo Nørregaard Jørgensen, Eddy Truyen...
EUC
2006
Springer
13 years 8 months ago
Custom Instruction Generation Using Temporal Partitioning Techniques for a Reconfigurable Functional Unit
Extracting appropriate custom instructions is an important phase for implementing an application on an extensible processor with a reconfigurable functional unit (RFU). Custom inst...
Farhad Mehdipour, Hamid Noori, Morteza Saheb Zaman...