Sciweavers

8 search results - page 1 / 2
» Analysis and optimization of NBTI induced clock skew in gate...
Sort
View
DATE
2009
IEEE
122views Hardware» more  DATE 2009»
13 years 11 months ago
Analysis and optimization of NBTI induced clock skew in gated clock trees
NBTI (Negative Bias Temperature Instability) has emerged as the dominant PMOS device failure mechanism for sub100nm VLSI designs. There is little research to quantify its impact o...
Ashutosh Chakraborty, Gokul Ganesan, Anand Rajaram...
ISPD
2010
ACM
177views Hardware» more  ISPD 2010»
13 years 11 months ago
Skew management of NBTI impacted gated clock trees
NBTI (Negative Bias Temperature Instability) has emerged as the dominant failure mechanism for PMOS in nanometer IC designs. However, its impact on one of the most important compo...
Ashutosh Chakraborty, David Z. Pan
ISQED
2002
IEEE
105views Hardware» more  ISQED 2002»
13 years 9 months ago
Impact Analysis of Process Variability on Clock Skew
This paper presents a methodology for the statistical analysis of clock tree structures. It allows to accurately predict and analyze the impact of process variation on clock skew....
Enrico Malavasi, Stefano Zanella, Min Cao, Julian ...
GECCO
2005
Springer
152views Optimization» more  GECCO 2005»
13 years 9 months ago
Multi-level genetic algorithm (MLGA) for the construction of clock binary tree
The clock signal and clock skew become more and more important for the circuit performance. Since there are salient shortcomings in the conventional topology construction algorith...
Guofang Nan, Minqiang Li, Jisong Kou
DATE
2006
IEEE
87views Hardware» more  DATE 2006»
13 years 10 months ago
Thermal resilient bounded-skew clock tree optimization methodology
The existence of non-uniform thermal gradients on the substrate in high performance IC’s can significantly impact the performance of global on-chip interconnects. This issue is...
Ashutosh Chakraborty, Prassanna Sithambaram, Karth...