Sciweavers

886 search results - page 178 / 178
» Dependence Analysis and Architecture Design for Bit-Level Al...
Sort
View
PLDI
2011
ACM
12 years 8 months ago
EnerJ: approximate data types for safe and general low-power computation
Energy is increasingly a first-order concern in computer systems. Exploiting energy-accuracy trade-offs is an attractive choice in applications that can tolerate inaccuracies. Re...
Adrian Sampson, Werner Dietl, Emily Fortuna, Danus...